影音先锋juliaann永爱:请教用VHDL设计一个数字秒表

来源:百度文库 编辑:高考问答 时间:2024/05/03 07:53:28
秒表共有6个显示输出,分别为百分之一秒,十分之一秒,秒,分,十分,即共有6个计数器与之相对应,6个计数器的输出全部为BCD码输出,这样便于与显示译码器的连接。应有清零信号及启停信号。
最好是顶层设计,用图形连接。
先谢谢啦!!