女为悦己者容要做什么:CPLD设计八位抢答器

来源:百度文库 编辑:高考问答 时间:2024/04/30 11:25:57
要有清单程序 任务:用CPLD设计智力竞赛抢答器逻辑电路 要求:1 抢答组数为8组; 2 能够迅速、准确地判出抢答者,同时能排除其它组的干扰信号,并能对抢中者有鸣叫和显示指示,用发光二极管指示; 3 抢答时,当抢答开始后,抢答指示灯应闪亮。当有某组抢答时,抢答指示灯灭,最先抢答一组的灯亮,并发出声响。在抢答时间用完时,回答不出问题的,发出警告声音; 4 必答时,必答定时灯亮,同时显示给出的必答时间,以每秒减1的方式计数,直至0秒,此时仍然没有回答出问题的,发出警告声音; 5 必答与抢答的时间均取为10秒; 6 主持人应有复位按钮; 7 对抢答进行仿真,并纪录下仿真波形; 8 设计由晶振电路产生1Hz标准秒信号的单元电路(实际秒脉冲由开发箱提供) 9 选作部分1:每组有三位十进制计分显示电路,能进行加/减计分(此电路需自行设计,开发箱只能提供8个数码管) 10选作部分2:用数码管显示抢答时抢中组的号码

本书作为现代电子技术课程教学的重要组成部分,将VHDL语言与数字系统设计有机地结合起来。书中第1章为VHDL语言基础,介绍VHDL的语句和语法;第2,3章为组合电路、时序电路的VHDL基础实验,使读者轻松入门并巩固数字电路基础知识;第4章为状态机设计;第5章为存储器设计;第6章为DSP设计实例;第7章为数字系统设计实例。全书共有108个设计范例,读者可结合EDA工具和FPGA/CPLD开发系统进行实践,参照设计范例先做改动设计,逐渐熟悉VHDL开发后,就可独立设计出自己的源程序。
本书可作为高等学校电类和绝大部分非电类专业本科生、专科生教材,亦可作为电视大学、职业学校以及远程教育、网络教育的教材,本书还可用做从事数字系统设计的工程技术人员的参考书。