花青翡翠项链价格:怎样画这个程序的流程图啊!(VHDL)

来源:百度文库 编辑:高考问答 时间:2024/04/30 13:49:27
library ieee;
use ieee.std_logic_1164.all;
entity bbb is
port(data:in std_logic_vector(7 downto 0);
cha:std_logic;
dout:out std_logic_vector(7 downto 0));
end bbb;
architecture bbb_arc of bbb is
begin
process(cha)
variable tmp:std_logic_vector(7 downto 0);
begin
if cha'event and cha='1'then
tmp:=data;
end if;
dout<=tmp;
end process;
end bbb_arc;